请问一下protel与proteus以及Quartus-II有什么区别啊?

如题所述

第1个回答  2012-10-19
这几个软件基本是不同领域的软件,protel是硬件工程师常用的设计pcb的软件,由于操作简单,入门快,受很多工程师的喜欢,这款软件也有仿真功能,不过不算强大,一般国内工程师很少使用它的仿真功能,,甚至很多人不知道它有仿真功能,如果有时间你可以上它的官网看看,他们自己的工程师再设计时是使用仿真功能,貌似很强大。protues是一款仿真软件,尤其是仿真单片机的功能很强大,51系列和pic系列avr系列都有,学习单片机可以结合使用,它也有绘制pcb的功能,不过很一般,基本没人使用。Quartus是仿真软件,仿真主要是数字电路设计的时序波形,包括fpga和cpld一些高级数字电路设计都可以用他来仿真校验,功能十分强大。
另外,protel同类的软件还有 pads和 cadence,protues类似的软件还有multisim,saber等。本回答被提问者采纳

相关了解……

你可能感兴趣的内容

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 非常风气网