VHDL语言与c语言有什么区别?

如题所述

本人刚刚学过VHDL语言,也会C语言。

两个语言没有什么联系。

前者是面向硬件的,有点类似单片机。

一个是面向软件的,是计算机编程。

语法结构没什么大的相似。

都是编程语言。

如果你要制造一个定时炸弹的定时系统,VHDL适合。

如果你要写一些病毒或者其他的软件,c就比较合适。。
温馨提示:答案为网友推荐,仅供参考
第1个回答  2009-01-01
VHDL是硬件描述语言,用于CPLD、FPGA等大规模可编程逻辑器件的。
而C语言主要是使用于普通计算机的,以及单片机、DSP等
第2个回答  2009-01-01
应该是问有什么联系吧

一个是硬件描述语言,一个是高级编程语言,
除了风格类似外,没有任何联系

相关了解……

你可能感兴趣的内容

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 非常风气网