verilog HDL 求救!!!写段小程序,哪位大虾有空呀~~

功能:
●显示方式如下:L1、L2灭→L2、L3灭→…→L6、L7灭→L7、L8灭,然后L1、L8灭→L2、L7灭→…→L4、L5灭→L3、L6灭→…→L1、L8灭,依此循环;
每个灯对应一个动态数码管,灯亮显示“8”,灯灭不显示。
●显示间隔为0.5s和1s可调。
●具有暂停/启动功能。暂停的时候点阵显示“T”。工作的时候显示”D”
要求:
●用红色信号灯组L1-L8显示;
●用时钟频率控制显示间隔。
●用动态数码管显示;用双色点阵显示。
●用两个数字开关实现启动、暂停功能。
-------------
主要是动态数码管扫描模块,和怎么和LED同步???

Verilog HDL Loop Statement error at software.v源程序如下: module 先不说你这个问题。既然你是用原理图做出来了,那就是说你是想硬件实现喽追问

不用原理图,直接用语言实现,能不能给出代码呀,学过的应该很简单吧,以前没接触过,急需求教啊!!

温馨提示:答案为网友推荐,仅供参考
第1个回答  2012-12-25
哥们儿,你那里还有这个的备份吗?

相关了解……

你可能感兴趣的内容

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 非常风气网