《天谕手游》百鸟朝凤乐谱代码音轨分享

如题所述


下面小编给大家带来《天谕手游》百鸟朝凤乐谱代码分享,不清楚代码的小伙伴赶紧来看看吧。
A轨
v13e8l16g+bv10g+8f+64f+.v13e4v10e4v13f+g+c+f+.v
13e4v10e4v13l8eav10eav13bl16eg+v10ac-c+v10f+.
v13e4v10e4v13eaeabeec+v10c-c+
+g+64f+g+64f+v13e2c+16.el16c+
f+ev10c-8c+8v13c-8eg+.e32c+
8a8v13c+.a32f+ev10e8c-8v13e2c+.a32f+av10f+abn73v13ebd+64e32.d+64e32.
v10n66c+
c+.a32f+av10babn61v13ebd+64e32.d+64e32.v10n54c+
v10c-ag+f+v13c-8l64gag16.v10e8e8r8v13aa16.ar8v10aa16.av13l16f+af+av
10l8f+arv13g+64aa
6.av13l16n73babv10g+8r4v13b8r8v10ec+v13
v10e8v13g+f+ef+v10l8er4v13a64g+f+ef+
v10b8r8v13ed+ef+v10e8r8v13d+64e.e64v13l
8c-ev10c-ev13f+16e16c-v10ee4v13av10f+.a16v13c+f+v10ec+4v13c+v10l16en73
bav13g+8f+8v10e8d+64e.e64c+8.a+64b.b64r8v13c+8v10c+8.
v13e4.v10a64a.a64r8v13f+4v10a8v13f+8.ev10c+8
73bav10f+aef+v13a64a.a64f+4v10a8v13b8.av10c+8f+8v13e8d+64e.e64v10d+
64e.e64c+8v13c-c+d+64e.l64er4c+8
.a+b16.br8v13cc+16.c+v10c+8.c16v13aa16.al8rv1
3f+4v10av13f+.e16v10c+
a64f+4v10a8v13b8.av10c+8f+8v13e8d+64e.e64v10d+64e.e64c+8v13c-c+
10l8c+f+v13e1c+16v10f+f+64g+64f+16.v10erv13c+c+
v10erv13e.c+16v10f+g+f+16.v13
4r8v10f+g+f+16.v13c-8f+g+f+16.v10c-8f+g+f+16.v13c-8f+g+f+16.v10c-8f+g
+f+16.v13b4.v10e8r1r1v13
2v13a+b16.ba+8v10a+8e8r2.a+b16.ba+8v13a8e8r2.ff+16.f+f8v10f8c-8r4f
f+16.f+f8v13e8c-8r4a+b16.ba+8v10a+8e8v13a+b16.bl8a+v10a+ev13
f+ev13f+ev10f+ev13f+ev10f+ef+ev13f+ev10f+ev13f+ev10f+ef+ev13f+ev10f+ev
13f+ev10f+ev13f+ev10f+ev13f+er4l16ff+d+ev10d+eff+d+ev13d+e
r4a+bg+av10g+ad+er4a+bg+av13g+ad+er4ff+d+ev10d+eff+d+ev10d+e
a+bv13a+bg+av10g+ad+ev13a+bg+av10g+ad+ev13ff+d+ev10d+e
10d+ec+v10
3bv10er8v13n61v10b8v13av10f+v10l8av13f+.e16v10c+
10f+af+av13bn73bav10f+aef+v13l8g+f+4v10av13b.a16v10c+f+v13ed+v10d+c+v
13l16c-c+c+ef+v13bf+ec+v10
f+erv13c+ef+v10ac+ef+v13af+eav10f+ec+c+f+rv10n68c+erv13
3rv10c+f+arv13df+br4rc+ef+v10aef+arv13c+f+brv10c+f+n73rv13g+berv10
+n73rv13f+abrv10c+f+rv13eec+v10f+
64g+64f+.v13d4v10l8c+c+v13d4v10a.c+16v13erv10
v10f+av13b4v10d.a16v13f+ev10c+ev13c-brv10g+f+4.v13g+v10f+1f+4r4
l64dc+16.c+v13f+8dc+16.c+v13
v13b4v10o6d+e16.ed+e16.er2.d+e16.ed+e16
.er2.v13l32
+g+eev10g+g+eer4v13l32c+d+c+d+c+d+c+d+c+d+c+d+c+d+c+d+v12l16cc+
c+c+c+c+c+c+c+c+c+c+
g+ff+v10gg+ff+v13gg+ff+r1r1r1r1.r4v10dr4eabdv13
b8r8v13n61ra+rv10b4v13d+8.bv10f+rerv13c-rd+rv10erer8.v13
v13bf+e8r8v10f+e8r8v13g+4v10f+8v13e8n61bv10aba8r8v13d+4
v10c+8v13c-8g+f+v10ef+e8r8v13f+4v10b8v13b8c+ev10f+el8c-v13ec+4v10ev13
f+.a16v10c+f+v13el64d+e16.ev10d+e16.ec+8v13l16c-c+
ec+ec+a+64b.l64bv10ff+16.f+c+ec+
13a8a64a.l64av10d+e16.ef+8v10l16f+c+ec+v13f+af+ev10c+
ec+
-c+f+v13eeeeeee
v13ec+ec+a+64b.l64bv10ff+16.f+c+ec
+a64a.l64av10d+e16.ef+8v10l16f+c+ec+v13f+af+ev
10c+ec+
10c+c-c+f+v13eeee
av10g+f+v13e2l32o6c+d+c+d+r16.c-c+d+c+d+r16.c-v10c+d+c+d+r16.c-c+d+c+d
+r16.c-v12c+d+c+d+r16.c-c+4.v10
12bn73bn73r16.a+v10b2v13l16g+bg+f+v10e8r8v13c-d+c-d+v10e8r8v13g+bg+f+v
10e8r8v13a4v10a8.av13l8aav10aar1r1v13f+.a16
v10f+av13f+av10f+av13c-l64f+e16.ev10f+e16.ef+e16.ev13f+e16.ef+e16.
ev10f+e16.ef+e16.er1r1v13c+4v10l8c+.c+16v13c+c+v10c+c+r1r1v13
6v10bf+v13d+64bbb
c-bbc-r8v13c+rv10c+rv10
erv13f+rebv10c+g+c-f+r1v13e4v10f64c+v10ff+an73v13e8
r8v10g64c+v10ff+an85v13e8r2r8.v10
v10f+an73v13e8r1.r4.e4v10g+8.bv13f+8e8v10c-8d+64d+.v13e2.r1.e
2
B轨
v10o1e8l16o4c+ev8ef+
v10ac+v8ef+v10n18e16f+16v8ef+v8ef+v10bn49v8bav10f+l
16ef+v8g+8f+8v10e8ebv8ebebv10o2a8.f+v8e8.c+v10c+8v10
8.bv8a+bc+8v10f+v8e8.f+v10ababv8ababv10f+8.ev8d+ef+8v10e8e
bv8eebev10
eef+v8e8ef+v10c+8c+ev8c+8c+ev10c-c+c-c+v8d+8.f+v10l8n16f+v8eerv10
c+rv8c+v10l16f+af+av8l8f+arv10f+rv8ev10d+c+rv8c+v10l16n61ba
bv8e8r4v10ec+v10
f+b4v8ev10d+d+f+v8er2rv10d+64e16.e64v8
0bev8av10c+rv8f
+ev8f+ev10f+ev8f+ev10f+ev8f+ev10f+ev8f+ev10n42f+v8ac+v10c+n54v8c+
10bf+v8bf+v10af+v8f+ev10f+dv8f+dv10g+c+v8g+c+v10c-f+v8brv10e4v8l64d+e
16.eev8f+ev10f+ev8f+ev10f+ev8f+ev10f+ev8f+ev
10n30c+v8ac+v10c+
c+v10c-f+v8b4v10f+64g+64c+16v8
v8erv10f+f+v8erv10c+c+v8erv10a.c+16v8erv10ebv
8erv10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+
v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10e
f+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v
8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+r4l64c+8v10
v8aa+g+16.r2a+b16.bc+8v10c+8v10
4b16.bv8aa+g+16.r4a+b16.bc+8v10d+e16.e
v10l8ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v1
0c+v10ef+v8brv10c+v10ef+v8bc+v10
+d+ev10ff+d+ev8c+def+av8baf+ev10ef+av8baf+ev10ef+av8b
af+ev10ef+av8baf+ev10ef+av8baf+ev10ef+av8baf+ev10ef+av8baf
+ev10n30c+f+av8f+af+av10n30c+f+av8f+af+av10c-f+bdv8c-dc-dv10c+f+
v8c+f+c+f+v10dv8c-dc-dv10c+ev8c+ec+ev10c+ef+av10
dv8eabdv10ef+abv8c+8.c64c+.c+64v8c+8.cv10
4v8
10a64a.a64f+4v8a8v10b8.av8c+8f+8v10e8d+64e.e64v8d+64e.e64c+8v10c-c
+drv10erarv8brdr8.v10drv8drv10c-rdrv8
drarv10f+rarv8erarv10d4v8dr
v8n54rdrv10dv10c-ev8dav10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10e
f+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8
ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ef+v10ef+v8ee2r1.l64
bd+eg32gggbd+egg32ggd+eggg32gccc32cef+acc
cc32ccccrv10l16c-gef+v8c-gef+v9c-gef+v8c-gef+v
10c-gef+v8c-gef+v9c-rerv8c-rerv10c-rerv8c-rerv9c-rerv8c-rerv10c-rerv8c
-rerv9c-rerv8c-rerv10cgff+v8cgff+v9cgff+v8cgff+v10g+aa+bv8cdd+ev9cgff
+v8cgff+v10cgff+v8cgff+v9cgf+fv8ab
dr4v9eabdr4r32v10b2.b8.b32
bv8f+rerv10c-rd+rv8ererf+rv10c-rd+rv8er
erv8c+rf+rv8erf+rv10c+r
v10erv10
+rg+rv8c+rerv10c-rf+rv8br8.v10eee
erv10d+64e.e64l8aev10f+c+v8ec+v10f
+c+v8dd+v8d+c+v10
0e4r2.v8d+e16.el8af+v10f+
c+v8ec+v10f+c+v8dd+v8d+c+v10
c+.e16v10
c+c-c+8.c+c-v9c+8.c+c-c+4.v8
16g+bg+f+v8e8r8v10eec-ev8eed
+ev10eec-ev8eed+ev10eeev8en39ev10d+ev10d+ea+bv8a
+bd+ev10o1a4v8o4e8.ev10e8e8v8e8e8v10ean54av8an54av10an54av8
an54av10an54av8an54av10n40an42av8n40an42av10o2f+8.av8l8f+av10f
+av8f+av10l16
+ev10f+ef+ev8f+ed+dv10o1c+4v8o4c+8.c+v10c+8c+8v8c+8c+8v10c-n61c+c+v8
c-n61c+c+v10c+v8c+v10c+v8c+v10n35
c+n37c+v8n35c+n37c+v10o1f+8.av8b8f+8v10d+64e.e64c+8v8c+8
4r2.br2rf+rv8erc+rv10c-r2rf+rv8erc+rv10en44d+v8n42c+
en44d+v8n42c+
16.f+64f+v10e8r8v8d+
ed+ev10d+ed+ev8
28ec-f+v8eeen35f+v8n40en23f+v10o1e4v8g+8.bv10f+8e8v8
0e4v8a+n39a+d+d+a+d+a+v10a+n51a+d+v8d+a+d+a+v10a+n63a+d+v8d+a+d+a+v
10a+n75a+d+v8d+a+d+a+v10o1e2
MML代码使用方法
1、先将代码发送到手机上,如果是手机用户就直接复制代码。
2、将代码导入游戏天谕之中。
3、在天谕之中进行乐谱编曲,然后将代码复制进去。
4、导出乐谱即可完成整套乐谱制作。
总结:复制代码,游戏内直接导入,即可实现自动弹奏。
温馨提示:答案为网友推荐,仅供参考

相关了解……

你可能感兴趣的内容

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 非常风气网