有没有C语言转换成Verilog的工具

如题所述

第1个回答  2015-08-22
C和VerilogHDL是两码事情。
C语言是高级程序语言,程序是什么呢?程序说白了就是一个过程。C语言描述的其实就是一个过程,它的代码是顺序执行的。
而什么是HDL呢?它描述的是硬件,也就是描了一对逻辑电路与非门之类的是怎么连接的,它并不是一个过程的描述,是一种硬件布线和连接的描述。Verilog的代码中各模块是并行同步执行的。
所以,并不存在C语言向Verilog语言转化的概念。试问如何将一个抽象的过程转化为具体的硬件呢?这是不合理的。本回答被提问者和网友采纳
第2个回答  2020-11-13
Vivado HLS(高级综合),可以将C转化为Verilog和VHDL代码,不过需要你自己加相应的Directives
第3个回答  2015-08-11
没有的,软件编程和硬件编程是两个不同的概念。

相关了解……

你可能感兴趣的内容

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 非常风气网