VHDL中"按位与","按位或"怎么表示

如题所述

VHDL中,与运算符号是"AND",或运算符号是"OR",参与逻辑运算的两个操作数的位数必须相等,而逻辑运算本身就是按位运算的。
假设操作数A和B位数相等(类型相同),则表达式A AND B就是按位与、A OR B就是按位或。
温馨提示:答案为网友推荐,仅供参考

相关了解……

你可能感兴趣的内容

本站内容来自于网友发表,不代表本站立场,仅表示其个人看法,不对其真实性、正确性、有效性作任何的担保
相关事宜请发邮件给我们
© 非常风气网